GTKWave

GTKWave is a fully featured GTK+ based waveform viewer which reads FST, LXT, LXT2, VZT, and GHW files as well as standard Verilog VCD/EVCDfiles and allows their viewing. GTKWave is developed for Linux, with ports for various other operating systems including Microsoft Windows (either natively as a Win32 application or via Cygwin), and Mac OS […]

GHDL: VHDL simulator

GHDL is a complete VHDL simulator, using the GCC technology. VHDL is a language standardized by the IEEE, intended for developing electronic systems. GHDL implements the VHDL language according to the IEEE 1076-1987 or the IEEE 1076-1993 standard. GHDL compiles VHDL files and creates a binary which simulates (or executes) your design. See the features page […]

UMHDL, un IDE open source para aprender VHDL

La forma más común de describir un circuito es mediante la utilización de esquemas, que son representaciones gráficas de lo que se pretende realizar. Con la aparición de las herramientas EDA cada vez más complejas y a partir de lenguajes simples de descripción de hardware se descubrió el interés que podría tener el describir los […]